AD9216是一个双3 V,10位,65/80/105 MSPS模数转换器(ADC)

元器件信息   2022-11-22 09:48   217   0  


芯片规格书搜索工具-icspec


特征

集成双10位ADC;单3V供电操作;信噪比=57.6 dBc(至奈奎斯特,AD9216-105);SFDR=74 dBc(至Nyquist,AD9216-105);低功率:105msps时150mw/ch;300 MHz 3 dB带宽差分输入;出色的串扰抗扰度<-80分贝;偏移二进制或二进制补码数据格式;时钟占空比稳定器。

应用

超声波设备;通信接收机中频采样;3G,无线点对点,LMDS,MMDS;电池供电仪表;手持式示波器;低成本数字示波器。

一般说明

AD9216是一个双3 V 10位105 MSPS模数转换器(ADC)。它具有双高性能采样保持放大器(SHAs)和集成电压基准。AD9216采用带有输出纠错逻辑的多级差分流水线结构,以提供10位精度,并保证在高达105 MSPS的数据速率下,在整个工作温度范围内不会丢失代码。宽带宽、差分SHA允许各种用户可选择的输入范围和偏移,包括单端应用。AD9216适用于各种应用,包括在连续信道中切换满标度电压电平的多路复用系统,以及在远远超过奈奎斯特速率的频率下采样输入。

双单端时钟输入用于控制所有内部转换周期。在AD9216上有一个占空比稳定器,它可以补偿时钟占空比的巨大变化,使转换器保持良好的性能。数字输出数据以二进制或二进制补码格式显示。

AD9216采用先进的CMOS工艺制造,具有节省空间、无铅、64引线LFCSP(9 mm×9 mm)的特点,并在工业温度范围内(-40°C至+85°C)进行指定。

产品亮点:

1、引脚兼容AD9238,双12位20 MSPS/40 MSPS/65 MSPS ADC和AD9248,双14位20 MSPS/40 MSPS/65 MSPS ADC。

2、105msps的能力,允许苛刻的,高频应用。

3、低功耗:AD9216–105:105 MSPS=300兆瓦。

4、专利的SHA输入保持了高达200兆赫的输入频率的优异性能,可配置为单端或差分操作。

5、f至70 MHz时<-80 dB的典型信道串扰。

6、时钟占空比稳定器可在多种时钟占空比范围内保持性能。

b2255810-6a07-11ed-bcbe-b8ca3a6cb5c4.png

时序图

b2255811-6a07-11ed-bcbe-b8ca3a6cb5c4.png

引脚配置和功能说明

b2255812-6a07-11ed-bcbe-b8ca3a6cb5c4.png

术语

模拟带宽

模拟输入频率,在该频率下,基频的频谱功率(由FFT分析确定)降低3db。

孔径延迟

编码命令上升沿50%点与模拟输入采样瞬间之间的延迟。

孔径不确定度(抖动)

孔径延迟的采样-采样变化。

时钟脉冲宽度/占空比

脉冲宽度高是时钟脉冲应保持逻辑1状态以达到额定性能的最小时间量;脉冲宽度低是时钟脉冲应保持低状态的最小时间量。在给定的时钟速率下,这些规范定义了可接受的时钟占空比。

串扰

当相邻干扰信道由满标度信号驱动时,耦合到由低电平(-40 dBFS)信号驱动的一个信道上。

差分模拟输入电阻、差分模拟输入电容、差分模拟输入阻抗

在每个模拟输入端口测得的实际阻抗和复阻抗。静态测量电阻,用网络分析仪测量电容和差动输入阻抗。

差分模拟输入电压范围必须施加在转换器上以产生满标度响应的峰-峰差分电压。通过观察单个引脚上的电压并从另一个引脚上减去180°异相的电压来计算峰值差分电压。通过将输入相位旋转180°并再次进行峰值测量来计算峰间差分。然后计算两个峰值测量值之间的差异。

微分非线性

任何码宽与理想1lsb步距的偏差。

有效位数(ENOB)

ENOB根据测量的SINAD根据方程式计算(假设满标度输入):

b2255813-6a07-11ed-bcbe-b8ca3a6cb5c4.png

满标度输入功率

以dBm表示,并使用以下公式计算:

b2255814-6a07-11ed-bcbe-b8ca3a6cb5c4.png

增益误差

ADC的测量值与理想满标度输入电压范围之间的差异。

谐波失真,第二

二次谐波分量的均方根信号振幅与均方根值之比,用dBc表示。

谐波失真,第三

三次谐波分量的均方根信号振幅与均方根值之比,用dBc表示。

积分非线性

传递函数与用最小二乘曲线拟合确定的最佳直线以1lsb的分数测量的参考线的偏差。

最小转换率

最低模拟信号频率的信噪比低于保证限值不超过3db的编码速率。

最大转化率

执行参数测试时的编码速率。

输出传播延迟

CLK上升沿50%交叉与所有输出数据位在有效逻辑电平内的时间之间的延迟。

噪声(适用于ADC内的任何范围)

该值包括热噪声和量化噪声:

b2255815-6a07-11ed-bcbe-b8ca3a6cb5c4.png

其中:Z是输入阻抗。FS是有关频率的设备的满刻度。SNR是特定输入电平的值。

信号是以低于满标度的dB为单位报告的ADC内的信号电平。

电源抑制比

该规范显示了最大规模的变化从最大值的变化与供应的最低限度的价值与供应在其最大限度。

信噪比和失真(SINAD)

均方根信号振幅(设为满标度以下1dB)与所有其他谱分量(包括谐波,但不包括直流电)之和的均方根值之比。

信噪比(无谐波)有效值信号振幅(在满标度以下1分贝处设置)与所有其他谱分量之和的有效值之比,不包括前七次谐波和直流电。

无杂散动态范围(SFDR)

峰值杂散频谱分量的均方根信号振幅与均方根值之比。峰值杂散分量可以是谐波,也可以不是谐波。也可以用dBc(即,随着信号电平降低而降低)或dBFS(即,始终与转换器满标度相关)报告。

双音互调失真抑制

输入音的均方根值与最差三阶互调乘积的均方根值之比,单位为dBc。

双音SFDR

任一输入音的均方根值与峰值杂散分量的均方根值之比。峰值杂散分量可以是IMD产品,也可以不是IMD产品。它也可以在dBc(即,随着信号电平降低而降低)或dBFS(即,始终与转换器满标度相关)中报告。

最差的其他刺激

最大杂散分量(不包括二次谐波和三次谐波)的均方根信号振幅与均方根值的比值,用dBc表示。

瞬态响应时间

从负满标度以上10%到正满标度以下10%的瞬态后,ADC重新获得模拟输入所需的时间。

超出范围的恢复时间

从正满标度以上10%到负满标度以上10%,或从负满标度以下10%到正满标度以下10%的瞬态后,ADC重新获得模拟输入所需的时间。

典型性能特征

AVDD=3.0V,DRVDD=2.5V,T=25°C,差动驱动,内部参考,DCS开启,除非另有说明。

b2255816-6a07-11ed-bcbe-b8ca3a6cb5c4.pngb2255817-6a07-11ed-bcbe-b8ca3a6cb5c4.pngb2255818-6a07-11ed-bcbe-b8ca3a6cb5c4.pngb2255819-6a07-11ed-bcbe-b8ca3a6cb5c4.pngb225581a-6a07-11ed-bcbe-b8ca3a6cb5c4.pngb316094a-6a07-11ed-bcbe-b8ca3a6cb5c4.pngb316094b-6a07-11ed-bcbe-b8ca3a6cb5c4.pngb316094c-6a07-11ed-bcbe-b8ca3a6cb5c4.pngb316094d-6a07-11ed-bcbe-b8ca3a6cb5c4.pngb316094e-6a07-11ed-bcbe-b8ca3a6cb5c4.pngb316094f-6a07-11ed-bcbe-b8ca3a6cb5c4.png

等效电路

b3160950-6a07-11ed-bcbe-b8ca3a6cb5c4.png

操作理论

AD9216由两个基于AD9215转换器核心的高性能ADC组成。除了共享的内部带隙参考源VREF外,双ADC路径是独立的。每个ADC路径都由一个专用的前端SHA和一个流水线的开关电容ADC组成。流水线ADC分为三个部分,包括一个采样保持放大器、七个1.5位级和最后一个3位闪存。每个阶段都提供足够的重叠,以更正前面阶段中的闪存错误。每个级的量化输出通过数字校正逻辑块组合成最终的10位结果。流水线架构允许第一个阶段对新的输入样本进行操作,而其余阶段对前面的样本进行操作。采样发生在相应时钟的上升沿上。

管道的每个阶段(不包括最后一个阶段)都由一个低分辨率flash ADC和一个剩余乘法器组成,用于驱动管道的下一个阶段。剩余乘法器使用flash ADC输出来控制具有相同分辨率的开关电容数模转换器(DAC)。DAC输出从级的输入信号中减去,剩余部分被放大(倍增)以驱动下一个流水线级。剩余乘法器级也称为乘法DAC(MDAC)。在每个阶段中使用一位冗余,以便于对flash错误进行数字校正。最后一级由一个flash ADC组成。

输入级包含一个差分SHA,可以配置为以差分或单端模式耦合的ac或dc。输出暂存块对齐数据,执行错误更正,并将数据传递到输出缓冲区。输出缓冲器由单独的电源供电,允许调整输出电压摆动。

模拟输入

AD9216的模拟输入是一个差分开关电容器SHA,在处理差分输入信号时,该SHA被设计为具有最佳性能。SHA输入接受宽共模范围的输入。为了保持最佳性能,建议使用中电源的输入共模电压。

SHA输入是一个差动开关电容电路。在图41中,时钟信号交替地在采样模式和保持模式之间切换SHA。当SHA切换到采样模式时,信号源必须能够在半个时钟周期内为采样电容器充电并稳定下来。与每个输入串联的小电阻有助于降低驱动源输出级所需的峰值瞬态电流。此外,可以在输入端放置一个小的并联电容器,以提供动态充电电流。

这个无源网络在ADC的输入端创建一个低通滤波器;因此,精确值取决于应用程序。如果在取样应用中,应移除任何并联电容器。结合驱动源阻抗,它们将限制输入带宽。为了获得最佳的动态性能,驱动VIN+和VIN-的源阻抗应该匹配,因此共模调节误差是对称的。这些误差通过ADC的共模抑制而减小。

b3160951-6a07-11ed-bcbe-b8ca3a6cb5c4.png

内部差分参考缓冲器分别产生正参考电压和负参考电压REFT和REFB,它们定义了ADC核心的跨距。参考缓冲器的输出共模设置为“中电源”,参考电压和参考电压范围定义为:

b3160952-6a07-11ed-bcbe-b8ca3a6cb5c4.png

从上面的方程可以看出,REFT和REFB电压在中间供电电压附近是对称的,根据定义,输入跨距是VREF电压值的两倍。

可以从使信号峰值保持在所选参考的允许范围内的源驱动SHA电压。最小和最大共模输入电平定义为:

b3160953-6a07-11ed-bcbe-b8ca3a6cb5c4.png

最小共模输入电平允许AD9216适应接地参考输入。尽管通过差分输入可获得最佳性能,但单端电源可能会被驱动至车辆识别号+或车辆识别号-。在此配置中,一个输入接受信号,而另一个输入应通过将其连接到适当的参考设置为中刻度。

例如,2伏p-p信号可应用于车辆识别号+,而1伏参考电压可应用于车辆识别号-。然后,AD9216接收在2v和0v之间变化的输入信号。在单端配置中,与差分情况相比,失真性能可能显著降低。然而,在较低的输入频率下,这种影响不太明显。

b3160954-6a07-11ed-bcbe-b8ca3a6cb5c4.png

差分输入配置

如前所述,在差分输入配置中驱动AD9216时实现最佳性能。对于基带应用,AD8138差分驱动器提供了优异的性能和灵活的ADC接口。AD8138的输出共模电压容易设置为AVDD/2,并且驱动器可以配置为Sallen-Key滤波器拓扑,以提供输入信号的频带限制。

在第二奈奎斯特区及以上的输入频率下,大多数放大器的性能不足以达到AD9216的真正性能。这尤其适用于采样频率在70mhz至200mhz范围内的欠采样应用。对于这些应用,差动变压器耦合是推荐的输入配置,如图43所示。

b3160955-6a07-11ed-bcbe-b8ca3a6cb5c4.png

选择变压器时必须考虑信号特性。大多数射频变压器的饱和频率低于几兆赫,过大的信号功率也会导致铁心饱和,从而导致失真。

对于直流耦合应用,AD8138、AD8139或AD8351可以根据需要用作方便的ADC驱动器。图44显示了AD8138的示例。AD9216 PCB板上有一个可选的AD8139,如图53所示。注意AD8351对于大于30 MHz到40 MHz的频率通常产生更好的性能。

b3160956-6a07-11ed-bcbe-b8ca3a6cb5c4.png

单端输入配置

在成本敏感的应用中,单端输入可以提供足够的性能。在这种配置中,由于输入共模振荡大,SFDR和失真性能下降。然而,如果每个输入端的源阻抗匹配,对信噪比性能的影响应该很小。

时钟输入和注意事项

典型的高速adc使用两个时钟边缘来产生各种内部定时信号,结果可能对时钟占空比敏感。通常,时钟占空比需要5%的公差,以保持动态性能特性。

AD9216为每个信道提供单独的时钟输入。在相同频率和相位下工作的时钟可获得最佳性能。异步对通道计时可能会显著降低性能。在一些应用中,希望使相邻信道的时钟定时发生偏移。AD9216的独立时钟输入允许信道之间的时钟定时偏差(通常为±1ns),而不会显著降低性能。

AD9216包含两个时钟占空比稳定器,每个转换器一个,用于重定时非采样边缘,提供具有标称50%占空比的内部时钟。当很难维持50%的占空比时,更快的输入时钟速率可以从使用DCS中受益,因为可以适应范围广泛的输入时钟占空比。在高速应用中,保持50%的占空比时钟尤为重要,因为要保持高性能,就需要对转换器进行适当的跟踪和保持时间。可以通过将DCS引脚连接到高位来启用DCS。

占空比稳定器使用延迟锁定回路来创建非采样边缘。因此,对采样频率的任何改变都需要大约2μs到3μs,以允许DLL获取并稳定到新的速率。

高速、高分辨率的adc对时钟输入的质量非常敏感。在给定的满标度输入频率(f)下,仅由孔径抖动(t)引起的信噪比下降可以通过:

b3160957-6a07-11ed-bcbe-b8ca3a6cb5c4.png

在方程中,均方根孔径抖动表示所有抖动源的根和平方,包括时钟输入、模拟输入信号和ADC孔径抖动规范。欠采样应用对抖动特别敏感。

为了获得最佳性能,特别是在孔径抖动可能影响AD9216的动态范围的情况下,最小化输入时钟抖动是很重要的。时钟输入电路应使用稳定的基准;例如,使用模拟电源和接地平面为AD9216时钟输入生成有效的高电平和低电平数字。时钟驱动器的电源应与ADC输出驱动器电源分开,以避免用数字噪声调制时钟信号。低抖动,晶体控制振荡器是最好的时钟源。如果时钟是从其他类型的源(通过选通、除法或其他方法)生成的,则应在最后一步由原始时钟重定时。

功耗和待机模式

AD9216的功耗与其采样率成正比。数字(DRVDD)功耗主要由数字驱动器的强度和每个输出位上的负载决定。数字驱动电流可以通过:

b3160958-6a07-11ed-bcbe-b8ca3a6cb5c4.png

其中是改变的位数,是改变的数字管脚上的平均负载。

模拟电路具有最佳偏置,因此每种速度等级都能提供优异的性能,同时降低功耗。每个速度等级在低采样率下耗散基线功率,该采样率随时钟频率增加而增加。

通过断言PWDN A或PDWN B管脚,可以将AD9216的任一通道独立地置于待机模式。进入或退出待机模式的时间是只有一个通道断电时最大5个周期。当两个通道都断电时,VREF接地,根据去耦电容值,唤醒时间约为7 ms。

建议输入时钟和模拟输入在独立或完全待机期间保持静态,这将导致ADC的典型功耗为3 mW。如果时钟输入在总待机模式下保持激活状态,则会产生10 mW的典型功耗。

当两个通道都处于完全断电模式(PDWN_A=PDWN_B=HI)时,达到最小待机功率。在这种情况下,内部引用将关闭。当一个或两个信道路径在断电后被启用时,唤醒时间与REFT和REFB去耦电容器的重新充电和断电的持续时间直接相关。

可以关闭单个通道以适度节能。断电通道关闭内部电路,但参考缓冲区和共享参考保持通电。由于缓冲器和电压基准保持通电,唤醒时间减少到几个时钟周期。

数字输出

AD9216输出驱动程序可以直接与3V逻辑系列接口。需要ADC驱动大电容负载或大扇形输出的应用可能需要外部缓冲器或锁存器,因为大的驱动电流往往会导致电源上的电流故障,从而影响转换器的性能。

可以为偏移二进制或双倍补码选择数据格式。这将在数据格式部分讨论。

输出编码

b3160959-6a07-11ed-bcbe-b8ca3a6cb5c4.png

时机

AD9216提供具有六个时钟周期的管道延迟的锁存数据输出。数据输出在时钟信号上升沿后的一个传播延迟(t)可用。有关详细的时序图,请参阅图2。

输出数据线和负载的长度应最小化,以减少AD9216内的瞬变。这些瞬态会降低转换器的动态性能。AD9216的最低转换速率为10 MSPS。当时钟速率低于10毫秒/秒时,动态性能可能会降低。

b316095a-6a07-11ed-bcbe-b8ca3a6cb5c4.png

数据格式

AD9216数据输出格式可以配置为双工补码或偏移二进制。这由数据格式选择pin(DFS)控制。将DFS连接到AGND会产生偏移二进制输出数据。相反,将DFS连接到AVDD会将输出数据格式化为两个补码。

来自双adc的输出数据可以复用到一个10位的输出总线上。多路复用是通过切换MUXYSELY位来完成的,MUXYSEAD位将信道数据引导到相同或相反的信道数据端口。当MUX_SELECT为逻辑高电平时,信道A数据被定向到信道A输出总线,信道B数据被定向到信道B输出总线。当MUX_SELECT为逻辑低时,信道数据被反转;即,信道A数据被定向到信道B输出总线,信道B数据被定向到信道A输出总线。通过切换MUX_SELECT位,可以在任一输出数据端口上使用多路数据。

如果adc以同步定时运行,则该时钟可应用于MUX_SELECT管脚。CLK_A、CLK_B和MUX_SELECT之间的任何偏差都会降低交流性能。建议保持时钟偏差<100phs。在MUX_选择上升沿后,任一数据端口都有其各自信道的数据;在下降沿后,备用信道的数据被放置在总线上。通常,通过设置适当的OEB高来禁用另一个未使用的总线,以降低功耗和噪声。图46显示了多路复用模式的示例。当复用数据时,数据速率是采样率的两倍。注意,在这种模式下,两个通道都必须保持激活状态,并且每个通道的断电引脚必须保持低电平。

电压基准

AD9216内置了稳定、准确的0.5V电压基准。可以使用具有不同外部电阻配置的内部参考或外部应用的参考电压,通过改变施加到AD9216的参考电压来调整输入范围。ADC的输入范围跟踪参考电压的线性变化。

内部参考连接

AD9216中的比较器检测传感管脚处的电位,并将参考配置为三种可能的状态,如表9所示。如果传感器接地,则参考放大器开关连接到内部电阻分压器(见图47),将VREF设置为1V。如果连接电阻分压器,如图48所示,则开关再次设置为传感器引脚。这使参考放大器处于非垂直模式,VREF输出定义为:

b451c9e8-6a07-11ed-bcbe-b8ca3a6cb5c4.png

注:最佳性能由VREF获得=1.0V;随着VREF(和满标度)的降低,性能下降(见图25)。在所有参考配置中,REFT和REFB驱动ADC核心并建立其输入范围。ADC的输入范围始终等于内部或外部参考的参考引脚电压的两倍。

b451c9e9-6a07-11ed-bcbe-b8ca3a6cb5c4.png

b451c9ea-6a07-11ed-bcbe-b8ca3a6cb5c4.png

外部参照操作

可能需要使用外部基准来提高ADC的增益精度或改善热漂移特性。当多个adc彼此跟踪时,可能需要单个参考(内部或外部)以将增益匹配误差降低到可接受的水平。还可以选择高精度的外部基准来提供较低的增益和偏移温度漂移。图49显示了内部参考的典型漂移特性。

当检测管脚绑定到AVDD时,内部引用被禁用,允许使用外部引用。内部参考缓冲器用等效的7kΩ负载加载外部参考。内部缓冲区仍然为ADC核心生成正负满标度参考(REFT和REFB)。输入跨距总是参考电压值的两倍;因此,外部参考必须限制在1 V的最大值。如果AD9216的内部参考被用来驱动多个转换器以改善增益匹配,则必须考虑其他转换器的基准负载。图50描述了负载对内部参考电压的影响。

b451c9eb-6a07-11ed-bcbe-b8ca3a6cb5c4.png

b451c9ec-6a07-11ed-bcbe-b8ca3a6cb5c4.png

共享参考模式

共享参考模式允许用户在外部将来自双adc的参考连接在一起,以获得优异的增益和偏移匹配性能。如果adc独立工作,则参考解耦可以独立处理,并且可以在双通道之间提供更好的隔离。要启用共享参考模式,共享参考引脚必须绑在高位,外部差分参考必须在外部短路。(参考文献A必须对参考文献B进行外部短路,参考文献A必须对参考文献B进行短路。)

双ADC LFCSP印刷电路板

PCB需要低抖动的时钟源、模拟源和电源。PCB直接与ADI的标准双通道数据采集板(HSC-ADC-EVALDC)接口,该板与ADI的ADC Analyzer™软件一起允许快速评估ADC。

电源连接器

电源通过三个可拆卸的四线电源板提供给电路板。

b451c9ed-6a07-11ed-bcbe-b8ca3a6cb5c4.png

模拟输入

评估板接受2 V p-p模拟输入信号,该信号位于两个SMB连接器(输入a和输入B)的接地中心。这些信号在各自的一次侧变压器处终止。T1和T2是宽带射频变压器,提供单端到差分转换,允许ADC差分驱动,最小化偶数阶谐波。模拟信号可以在二次变压器处进行低通滤波,以减少高频混叠。

可选运算放大器

PCB被设计成容纳可选的AD8139运算放大器,可以作为一个方便的解决方案,用于直流耦合应用。要使用AD8139运算放大器,请拆下C14、R4、R5、C13、R37和R36,然后放置R22、R23、R30和R24。

时钟

单时钟输入在J5;输入时钟被缓冲,并驱动两个信道输入时钟,从位于U8的引脚3到R79、R40和R85。跨接线E11至E19允许反转输入时钟。U8还提供CLKA和CLKB输出,这些输出由U6和U5缓冲,U6和U5驱动DRA和DRB信号(这些是脱离卡的数据准备时钟)。DRA和DRB也可以在各自的跳线处反转。

b451c9ee-6a07-11ed-bcbe-b8ca3a6cb5c4.png

电压基准

ADC检测引脚引出至E41,通过将跨接导线从E41接地(E27)选择内部参考模式。通过在E41到E25和E30到E2之间放置跳线来选择外部参考模式。R56和R45允许可编程参考模式选择。

数据输出

ADC输出缓冲在U2,U4的PCB上。ADC输出具有推荐的串联电阻,以限制开关瞬态对ADC性能的影响。

热因素

AD9216 LFCSP封装有一个集成的热段塞,当它局部地连接到PCB的接地板上时,可以改善封装的热和电性能。一个热的(填充的)通过阵列到零件下面的一个地平面,为热量提供了一个通道,以逸出封装,降低结温。改进的电气性能也由于封装寄生效应减少,由于接近接地平面。建议阵列为0.3毫米通孔,间距为1.2毫米。θ=26.4°C/W,建议配置。把焊渣焊接到印刷电路板上是这个包装的要求。

外形尺寸

b451c9ef-6a07-11ed-bcbe-b8ca3a6cb5c4.png















登录icspec成功后,会自动跳转查看全文
博客评论
还没有人评论,赶紧抢个沙发~
发表评论
说明:请文明发言,共建和谐网络,您的个人信息不会被公开显示。